Intel

Key Takeaways In Intel’s roadmap, the company has made significant progress in its transition to new fabrication processes. Intel 7 and Intel 4 have been completed, with Intel 3, 20A, … Read more

Taylor Bell

Taylor Bell

Published on Jul 04, 2024

Intel

Key Takeaways

  • In Intel’s roadmap, the company has made significant progress in its transition to new fabrication processes. Intel 7 and Intel 4 have been completed, with Intel 3, 20A, and 18A coming in the next few years. Intel 7 is the company’s 10nm process, and Intel 4 is its 7nm process. The names can be misleading, but nanometer measurements in chips are now mostly marketing terms.
  • Intel 4 is the near future and is used in Meteor Lake, which is mostly fabricated on this process. However, it is the first to use extreme ultraviolet lithography, allowing for higher yield and area scaling for power efficiency. Intel 3 is a follow-on from Intel 4, aimed at data center usage with an expected 18% performance per watt gain. Intel 20A is set to debut with Arrow Lake processors, featuring PowerVia and RibbonFET technology, with a 15% improvement in performance per watt over Intel
  • Intel 18A is the most advanced node, set to begin manufacturing in the latter half of 2024, with an increase of up to 10% performance per watt.

Intel unveiled its Meteor Lake laptop processors alongside a Raptor Lake Refresh last year, and with it came a renewed commitment to the company’s process node roadmap that it first published in 2021. In that roadmap, the company states that it wants to clear five nodes in four years, something that no other company has achieved in years. Intel’s own roadmap states that it aims to achieve “process leadership” in 2025. Process leadership, by Intel’s standards, is the highest performance per watt. What does the journey to the best CPU look like?

Best CPUs

Related

Best CPUs in 2024

Trying to build your next PC for gaming or work? Here are the best CPUs you can buy for a new machine or if you’re looking for an upgrade.

Where is Lunar Lake?

Lunar Lake is separate from Intel’s roadmap

Before we break down Intel’s roadmap, Lunar Lake is not covered in it at all. It sits outside of the roadmap, for the simple reason that Lunar Lake is not produced on any of Intel’s processes. Lunar Lake is produced at TSMC, though it was supposed to be the first chip produced on Intel 18A. Lunar Lake is essentially a follow-up of Meteor Lake, with a mixture of TSMC N3B and TSMC N6. In the future, Intel will be moving back to Intel fabbing processes, but Lunar Lake this year has been outsourced to TSMC.

Intel’s roadmap until 2025

A brief overview

Intel roadmap showing Intel 7, Intel 4, Intel 3, Intel 20A, and Intel 18A.
Source: Intel

In the above roadmap, Intel has completed its transition to Intel 7 and Intel 4, with Intel 3, 20A, and 18A coming in the next few years. For reference, Intel 7 is what the company names its 10nm process, and Intel 4 is what it names its 7nm process. Where the names come from (even though one could argue that they’re misleading) is that Intel 7 has a very similar transistor density to TSMC’s 7nm, despite Intel 7 being built on a 10nm process. The same goes for Intel 4, with WikiChip actually coming to the conclusion that Intel 4 is very likely to be slightly denser than TSMC’s 5nm N5 process.

With that said, where things become very interesting is with 20A and 18A. 20A (the company’s 2nm process) is said to be where Intel will reach “process parity” and will debut with Arrow Lake and the company’s first usage of PowerVia and RibbonFET, and then 18A will be 1.8nm using both PowerVia and RibbonFET, too. For a more detailed breakdown, check out the chart that I made below.

Intel roadmap chart.

Back in the day of planar MOSFETs, nanometer measurements mattered a whole lot more as they were objective measurements, but the switch to 3D FinFET technology has turned nanometer measurements into mere marketing terms.

Intel 7

Where we are now (kind of)

Prior generation processor chart.
Source: Intel

Intel 7 is what was formerly known as Intel 10nm Enhanced SuperFin (10 ESF), and the company later rebranded it to Intel 7 in what was essentially an effort to realign itself with the naming conventions of the rest of the fabrication industry. While one could argue it’s misleading, nanometer measurements in chips are nothing more than marketing at this point and have been for a number of years.

Intel 7 is the last process from Intel to use deep ultraviolet lithography, or DUV. Intel 7 was used to produce Alder Lake, Raptor Lake, and the recently announced Raptor Lake Refresh that arrived alongside Meteor Lake. Meteor Lake, however, is produced on Intel 4.

Raptor Lake Refresh is very likely to be the last of Intel 7, with Intel committing to moving onto new process nodes in the future. With Meteor Lake on Intel 4, it’s unlikely that we’ll see any new chips announced that run on this fabrication node.

Intel 4

The near future

Meteor Lake chip layout with Graphics Tile, SoC Tile, IO Tile, and Compute Tile.
Source: Intel

Intel 4 is the near future unless you’re a laptop user, in which case, it’s the present. Meteor Lake is fabricated on Intel 4… mostly. The computer Tile of Meteor Lake’s new CPUs are fabricated on Intel 4, but the graphics Tile is fabricated on TSMC N3. These two tiles (along with the SoC Tile and the I/O Tile) are integrated using Intel’s Foveros 3D packaging tech. This process is typically referred to as disaggregation and the AMD equivalent is called a chiplet.

However, a major change from Intel 4 is that it’s the first of Intel’s fabrication processes to make use of extreme ultraviolet lithography. This allows for higher yield and area scaling to maximize power efficiency. As Intel puts it, Intel 4 has twice the area scaling for high-performance logic libraries when compared to Intel 7. It’s the company’s 7nm process, which is again akin to the capabilities of what other fabrication plants in the industry refer to as their own 5nm and 4nm processes.

So far, Intel 4 looks to be a success, and Core Ultra is a game-changer for Intel… at least in the Acer Swift Go 14, anyway. Where Intel goes with this will be particularly interesting, but we’re expecting that Intel may no longer be on the backfoot when it comes to CPU production.

Intel 3

Doubling down on Intel 4

Intel 3 is a follow-on from Intel 4 but brings with it an expected 18% performance per watt gain over Intel 4. It has a denser high-performance library but is only aimed at data center usage so far with Sierra Forest and Granite Rapids. You won’t be seeing this one in any consumer CPUs at the moment. We don’t know a lot about this node, but given that it’s a lot more enterprise-focused, normal consumers won’t hugely have to care about it.

Intel 20A

Process parity

Diagram comparing frontside power delivery versus backside power delivery.
Source: Intel

Intel knows that it’s behind the rest of the industry somewhat when it comes to fabrication processes, and in the second half of 2024, it aims to have Intel 20A available and in production for its Arrow Lake processors. This will also debut the company’s PowerVia and RIbbonFET, where RibbonFET is simply another name (given by Intel) to a Gate All Around Field-Effect Transistor, or GAAFET. TSMC is moving to GAAFET for its 2nm N2 node, whereas Samsung is moving to it with its 3nm 3GAE process node.

What’s special about PowerVia is that it allows for backside power delivery throughout a chip, where signal wires and power wires are decoupled and optimized separately. With frontside power delivery, the standard of the industry now, there is a lot of potential for bottlenecking due to space while also potentially opening up to issues like power integrity and signal interference. PowerVia separates signal and power lines, resulting in theoretically better power delivery.

Backside power delivery isn’t a new concept, but it’s one that has posed a challenge to implement for a number of years. If you consider that the transistors in PowerVia are now in a sandwich of sorts between power and signaling (and transistors are the hardest part of a chip to manufacture, as they carry the most potential for defects), then you are producing the hard part of the chip after you’ve already committed resources to the other parts. Couple that with transistors being where most of the heat in a CPU is generated, where you’ll now need to cool a CPU through a layer of either power delivery or signal delivery, and you’ll see why technology has proven difficult to get right.

This node is said to have a 15% improvement in performance per watt over Intel 3. Intel’s 15th Gen Arrow Lake will reportedly be fabricated on this process, which means desktop PCs should get the first taste of it this year.

intel-core-i9-14900ks-box-unboxing-wafer

Related

Intel 15th-gen Arrow Lake: Everything we’re expecting

Here’s everything we know about Intel’s next-gen processors.

Intel 18A

Looking to the future

Intel’s 18A is by far the most advanced node that it has to talk about, and it’s set to begin manufacturing in the latter half of 2024. This will be used to produce a future consumer Lake CPU and a future data center CPU, with an increase of up to 10% performance per watt. There aren’t a lot of details that have been shared at this time about it, and it doubles down on RibbonFET and PowerVia. All we know is that Panther Lake is set to debut with this process node, featuring Cougar Cove P-Cores.

The only thing that has changed since this node was first unveiled is that it was initially supposed to use High-NA EUV lithography, though that is no longer the case. Part of the reason for this is that Intel’s 18A node is launching slightly earlier than was initially anticipated, with the company pulling it back to late 2024 instead of 2025. With ASML, the Dutch company that produces EUV lithography machines, still shipping its first High-NA scanner (the Twinscan EXE:5200) in 2025, that meant Intel would have to skip it for 2024. For anything EUV, companies have to go to ASML by the way, so there is no alternative.

Intel is still on track to start producing 18A in the latter half of 2024,

Intel’s roadmap is ambitious, but so far, the company is sticking to it

Intel roadmap for up to 2027

Now that you understand Intel’s roadmap for this and next year, one would be right to say that it’s absolutely ambitious. Intel themselves advertise it as “five nodes in four years,” as they know how impressive that is. While you may expect that there may be hiccups along the way, the only change since Intel first unveiled this plan in 2021 was to bring Intel 18A forward to an even sooner launch. That’s it. Everything else has remained the same.

Since then, the company has announced that it will launch 18A-P and, later, Intel 14A and 14A-E. In this case, P stands for Performance improvement, and E stands for feature extension. These are looking far into the future, right up to 2027, but show that Intel have big plans to not just catch up, but to dominate the rest of the competition.

Whether Intel will retain its progressive additions going forward remains to be seen, but it bodes well that the only change the company has had to make was to make its most advanced node launch even sooner than anticipated. While it’s not clear whether Intel will be a formidable competitor to TSMC and Samsung still when it comes to its more advanced processes (especially when it reaches RibbonFET), we’re certainly hopeful. Meteor Lake has been a good start, and we can’t wait to see what else Intel has in store.

Partager cet article

Inscrivez-vous à notre newsletter